Fotolithografie (Halbleitertechnik)

zentrale Methode der Halbleiter- und Mikrosystemtechnik zur Herstellung von integrierten Schaltungen und weiteren Produkten
(Weitergeleitet von Mikrolithographie)

Die Fotolithografie (auch Photolithographie) ist eine der zentralen Methoden der Halbleiter- und Mikrosystemtechnik zur Herstellung von integrierten Schaltungen und weiteren Produkten. Dabei wird mit Hilfe eines Belichtungsprozesses das Bild einer Fotomaske auf einen lichtempfindlichen Fotolack übertragen. Anschließend werden die belichteten Stellen des Fotolacks aufgelöst (alternativ ist auch die Auflösung der unbelichteten Stellen möglich, wenn der Fotolack unter Licht aushärtet). So entsteht eine lithografische Maske, die die weitere Bearbeitung durch chemische und physikalische Prozesse ermöglicht, etwa das Einbringen von Material in die offenen Fenster oder das Ätzen von Vertiefungen unter den offenen Fenstern.

Prozessbeschreibung Bearbeiten

 
Fotolithografie mit einem Positivlack und nasschemische subtraktive Strukturübertragung

Grundprinzip Bearbeiten

Das Grundprinzip der Fotolithografie ist die Strukturierung einer dünnen ganzflächig abgeschiedenen Opferschicht aus Fotolack durch eine lokale Änderung der chemischen Eigenschaften des Fotolacks und dessen Entfernen in den veränderten (Positivlack) bzw. unveränderten (Negativlack) Bereichen.[1]

 
Schematische Darstellung einer Anlage zur Rotationsbeschichtung für den Auftrag von Fotolack, wie sie in modernen Anlagen der Halbleiterindustrie genutzt werden. Das Bild zeigt die Hauptkomponenten der Anlage sowie den Fotolack nach der Dosierung (1.) und am Ende des Prozesses (nach dem Rotieren des Wafers)

Im ersten Teilschritt wird dazu der flüssige Fotolack durch Rotationsbeschichtung (engl. spin-coating) oder andere geeignete Methoden auf ein Substrat (Wafer) aufgebracht. Anschließend wird die Lackschicht mit einem Ausheizschritt bei ca. 110 °C behandelt (pre bake bzw. soft bake), dabei desorbiert das Lösungsmittel sowie ein Großteil des vorhandenen Wassers, wodurch der Fotolack stabilisiert wird. Für die chemischen Reaktionen bei der nachfolgenden Belichtung ist es jedoch wichtig, dass nicht das gesamte Wasser desorbiert und ein gewisses Maß an Restwasser in der Schicht verbleibt.

Vor der Belichtung des Fotolacks wird der Wafer zunächst genau auf das optische System der Belichtungsanlage ausgerichtet. Dazu dienen in der Regel spezielle Markierungen aus vorhergehenden Prozessschritten (direkte Ausrichtung) oder anlagenspezifische Fixpunkte (indirekte Ausrichtung). Die Belichtung selbst kann auf sehr unterschiedliche Art und Weise durchgeführt werden. Hierfür stehen verschiedene Belichtungstechniken (siehe unten) zur Verfügung, die sich nicht nur in der Wellenlänge des genutzten monochromatischen Lichts unterscheiden, sondern auch in der Position der Maske, die Abfolge der Belichtung (Flutbelichtung, Stepper, Scanner, …), der verwendeten Polarisation des Lichtes usw. Dabei werden die chemischen Eigenschaften des Fotolacks in den belichteten Bereichen durch eine photochemische Reaktion verändert – je nach Art des Fotolacks wird der Lack entweder löslich oder unlöslich gegenüber der eingesetzten Entwicklerlösung. Ein erneuter Temperschritt (engl. post exposure bake) dient zur Diffusion der (photo-)chemischen Komponenten (Glättung der Fotolackstrukturprofile) und ggf. zur Verstärkung der photochemischen Reaktion bei photochemisch verstärkten Fotolacken (engl. chemically amplified resist, CAR).

Im dritten Teilschritt erfolgt die Entwicklung des Fotolacks, das heißt, die löslichen Bereiche der Schicht werden mithilfe einer Entwicklerlösung (z. B. eine schwach basische TMAH-Lösung) nasschemisch durch Sprühen, Tauchen oder Auftropfen gelöst und entfernt. Anschließend wird der Wafer mit deionisiertem Wasser gespült und trocken geschleudert. Danach erfolgt nochmals ein Temperschritt (engl. hard bake, ca. 100 bis 180 °C), bei dem der nun strukturierte Fotolack nochmals getrocknet und ggf. durch eine Vernetzungsreaktion stabilisiert wird. Dies ist notwendig, da die Schicht in den nachfolgenden Prozessschritten (Trockenätzen, Ionenimplantation) stark beansprucht wird.

Abschließend wird der gesamte Prozess überprüft. Dies kann neben einer optischen Inspektion Detektion von Defekten (eingeschlossene Partikel, nicht entwickelte Bereiche usw.) auch die Messung der Ausrichtung gegenüber vorhergehenden Prozessschritten (Overlay-Messung, optisch sowie per Rasterelektronenmikroskop) oder der Linienbreite (CD-Messung; Rasterelektronenmikroskop) umfassen. Sollten hierbei zu viele Fehler festgestellt worden sein, wird die Fotolackschicht vorzeitig entfernt und die gesamte Prozedur nochmals wiederholt (Nacharbeitung, engl. rework).

Die so strukturierten Fotolackschichten dienen in der Regel als Hilfsmittel für weitere Prozesse, wie dem Ätzen darunterliegender Schichten, dem Einbringen von Fremdatomen (vgl. Dotierung und Ionenimplantation) oder der selektiven Abscheidung von Materialien (vgl. LIGA und Lift-off). Die Fotolackschicht dient hierbei als Maskierungsschicht, um bestimmte Bereiche vor dem nachfolgenden Prozessschritt zu schützen. Nach dieser Strukturübertragung wird die Fotolackmaske wieder vollständig entfernt. Dazu werden in der Regel Plasmaverascher (Sauerstoffplasma) genutzt. Alternativ dazu kann die Fotolackschicht auch nasschemisch aufgelöst werden. Welches Entfernungsverfahren eingesetzt wird, ist abhängig vom eingesetzten Lack und dem gesamten Herstellungsprozess, beispielsweise kann sich der Lack beim Trockenätzen einer unterliegenden Schicht stark verändern und so nicht mehr löslich sein, oder die eingesetzten Chemikalien reagieren mit anderen Schichten. Das Wiederholen dieser Prozessabfolge aus Herstellung der strukturierten Fotolackschicht und Strukturübertragung auf unterschiedlichste Schichten ist eine Schlüsseltechnologie bei der Herstellung von integrierten Schaltkreisen (umgangssprachlich „Mikrochips“). Die Weiterentwicklung der Fotolithografie bzw. ihre Ablösung durch neuere Verfahren sind mit entscheidend dafür, wie lange die Entwicklung der Mikroprozessortechnologie noch der Planungsgrundlage „Mooresches Gesetz“ folgen kann.

Verbesserungen Bearbeiten

Um die Haftung der Fotolackschicht zu verbessern, wird der Wafer oftmals vor dem Auftrag des Fotolacks mit einem Haftvermittler wie Hexamethyldisilazan (HMDS) behandelt. Des Weiteren kommen Hilfsschichten zur Reduzierung von Reflexionen und stehenden Wellen (Antireflexionsschicht), Verbesserung der Planarität der Oberfläche (bessere Kontrolle der Schichtdickenhomogenität) oder zum Schutz des Fotolacks bei der Immersionslithografie zum Einsatz.

Vor allem in der industriellen Produktion wird während des Prozesses die Rückseite und der Wafer-Rand (Vorderseite; bis zu 2 mm) mit Lösungsmittel besprüht. Dies soll zum einen Lackansammlungen auf der Rückseite und die Ausbildung einer Lackwulst am Rand verhindern. Hintergrund ist, dass Lackreste oder andere Partikel bei der Belichtung zwischen Wafer und Auflage (engl. chuck) liegen und zu einer lokalen Erhöhung der Waferoberfläche bzw. Verbiegung des Wafers führen. Diese geringen Höhendifferenzen liegen außerhalb der Toleranzgrenzen für den Fokus und führen zu Fehlern bei der Abbildung. Die Randentlackung (engl. edge bead removal, EBR) hingegen soll die unerwünschte Lackwulst am Wafer-Rand entfernen, sie bildet sich durch die Oberflächenspannung der Lacke bei der Beschichtung. Lackwülste sind gekennzeichnet durch Schichtdickenänderungen im Randbereich, die wiederum den Belichtungsprozess in diesem Bereich negativ beeinflussen. Um eine maximale Ausbeute an Chips zu erreichen, ist es daher notwendig, die Schichtdicke in diesem Bereich anzugleichen. Dies kann durch den Einsatz der Randentlackung, also der lokalen Verdünnung des Fotolacks (die verdünnten Anteile werden durch die Fliehkraft vom Wafer weg geschleudert), erreicht werden. Zusätzlich kann die Homogenität und Stabilität des Fotolacks am Schichtrand durch eine breitbandige Kantenbelichtung verbessert werden. Sie erfolgt direkt nach der Beschichtung und dem „soft bake“ des Fotolacks.

Bildbegriffe Bearbeiten

Bei der Beschreibung der fotolithografischen Abbildung müssen verschiedene Formen des lithografischen Bildes unterschieden werden. Sie beschreiben die Entwicklung des Bildes von der Fotomaske bis zur entwickelten Struktur im Fotolack.[2] Wegen fehlender deutschsprachiger Fachliteratur werden folgende, meist englischsprachige, Begriffe verwendet:

Maskenbild
Die Intensitätsverteilung des elektromagnetischen Feldes der genutzten Strahlung direkt nach der Maske
aerial image
(dt. etwa: Luftbild) Die Intensitätsverteilung des elektromagnetischen Feldes der einfallenden Strahlung direkt vor der Absorption im Fotolack
dosis image
(dt. etwa: Dosisbild) Die Verteilung der absorbierten Energie im Fotolackvolumen
latent image
(dt. latentes Bild) Beschreibt die Verteilung der chemisch wirksamen Verbindungen im Fotolack, die durch die Absorption der einfallenden Strahlung erzeugt wurde.
developed image
(dt. etwa: entwickeltes Bild) Beschreibt die auf dem Substrat verbleibende Materialverteilung des Fotolacks nach dessen Entwicklung

Auflösungsvermögen und Schärfentiefe Bearbeiten

Bei der optischen Lithografie wird die Struktur einer Fotomaske mittels Schattenwurf oder Projektion in einen lichtempfindlichen Fotolack übertragen. Das Auflösungsvermögen, das heißt die Fähigkeit des optischen Systems, die kleinsten Strukturen in den Fotolack abzubilden, wird im Wesentlichen von der verwendeten Lichtwellenlänge und der Fähigkeit des Systems, genug Beugungsordnungen der Maske einzufangen, bestimmt. Sie lässt sich näherungsweise beschreiben durch:

 

hierbei ist   die kritische Abmessung, das heißt die kleinste abbildbare Linienbreite (oft auch mit den englischen Begriffen minimum feature size oder critical dimension bezeichnet),   ein vom Abbildungs- und Lacksystem abhängiger Faktor, der in der Produktion ungefähr den Faktor 0,4 beträgt,   die Wellenlänge des genutzten Lichts und   der numerischen Apertur der letzten Linse vor dem Wafer.

 
Spektrale Darstellung der in der Fotolithografie genutzten Wellenlängen

Ausgehend von dieser Gleichung, kann das Auflösungsvermögen durch die Reduzierung der verwendeten Wellenlänge und des k1-Faktors verbessert werden. Hier wurden in den letzten Jahren zahlreiche Verbesserungen in die fotolithografischen Prozesse (Lackchemie usw.) eingeführt, durch die der k1-Faktor von ca. 0,8 bis auf 0,38[3] bei heutigen Spitzenprodukten reduziert werden konnte (theoretisches Minimum liegt bei 0,25[3]). Auch die verwendete Wellenlänge (genauer Vakuumwellenlänge) wurde über die Jahre hinweg stufenweise reduziert, um das Auflösungsvermögen zu verbessern. So nutzte man Mitte der 1970er Jahre zunächst die g-Linie (434 nm) und seit Anfang der 1980er Jahre die i-Linie (365 nm) des Emissionsspektrums einer Quecksilberdampflampe. In den 1990er Jahren folgte der Umstieg auf monochromatisches Licht von Excimerlasern, hier nutzte man zunächst KrF-Excimerlaser (248 nm, auch engl. deep ultraviolet, DUV, genannt) und später (bis heute) ArF-Excimerlaser (193 nm).

Weiterhin ist eine Verbesserung der Auflösung auch über die Erhöhung der numerischen Apertur möglich. Auch hier gab es zahlreiche Verbesserungen und Optimierungen. So betrug die NA für Spitzenprodukte mit g-Linien-Belichtung maximal 0,45 (theoretisches Maximum für ein Nichtimmersionssystem beträgt 1). Bei heutigen, in der Industrie eingesetzten Systemen auf Basis von ArF-Excimerlasern wurde dieser Wert auf ca. 0,93[3] gesteigert. Durch diese Verbesserungen können heute (2016) auch Strukturen unterhalb von 22 nm aufgelöst werden – weitere Reduzierungen sind durch den Einsatz von Immersionsflüssigkeiten (siehe Immersionslithografie) und noch kürzeren Wellenlängen möglich.

Ein weiterer wichtiger Parameter bei der optischen Abbildung ist die Schärfentiefe (engl. depth of focus, DOF) des abbildenden Systems.

 

mit   einem weiteren prozessspezifischen Parameter (auch Rayleigh-Koeffizient genannt). Für eine möglichst gute Abbildung in einem Lack endlicher Dicke ist eine hohe Schärfentiefe wünschenswert. Auf diese Weise kann über die gesamte Schichtdicke eine gleichförmige Abbildung erreicht und auch typischerweise auftretende Unebenheiten in der Wafer-Topografie ausgeglichen werden. Wie in der vorhergehenden Gleichung zu sehen ist, sinkt auch die Schärfentiefe mit steigender numerischer Apertur. Aus diesem Grund muss in der Praxis die NA mit dem restlichen Prozess und den gewünschten Anforderungen abgestimmt werden.

Außerdem werden heutzutage für die Belichtung von kritischen Ebenen, das heißt der Herstellung der kleinsten Strukturen in ICs, wie dem Gate-Kontakt oder die ersten Metallisierungsebenen, diverse Techniken eingesetzt, die das Auflösungsvermögen und die Abbildungstreue verbessern. Zu nennen sind hier vor allen die optical proximity correction (OPC), Phasenmasken (engl. phase-shifting mask, PSM), Schrägbelichtung (engl. off-axis illumination, OAI) und reflexionsmindernde Techniken (z. B. Antireflexionsschichten) sowie Mehrfachstrukturierungsverfahren wie das Double-Exposure- und das Double-Patterning-Verfahren. Mithilfe dieser Techniken lassen sich auch deutlich kleinere Strukturbreiten unterhalb der Beugungsgrenze herstellen, beispielsweise 65 nm mit 193-nm-ArF-Excimerlaser, bzw. 28 nm, wenn zusätzlich ein Immersionmedium eingesetzt wird. Aus Kostengründen wird in der Produktion aber nicht nur eine spezifische Technik genutzt, sondern es kommen je nach Anforderungen an das Auflösungsvermögen Systeme verschiedener Wellenlängen zum Einsatz. So sind die Anforderungen bezüglich der Auflösung bei höheren Metallisierungsebenen bei Weitem nicht so hoch, wie sie bei der Strukturierung des Gates (eines MOSFETs) benötigt werden.

Defekte Bearbeiten

Defekte sind eines der wesentlichen Kriterien für die Beurteilung der Abbildungsqualität eines fotolithografischen Prozesses. Die Hauptursache von Defekten sind Partikel aus der Umgebung oder vorhergehenden Prozessen sowie Schichtdickeninhomogenitäten des Fotolacks. Auch mechanische Beschädigungen der Maske oder der Wafer sind mögliche Defekte, die vor allem bei der Kontaktbelichtung auftreten können. Neben der Erkennung von Defekten auf dem Wafer sind vor allem die Erkennung und Entfernung von Defekten auf der Fotomaske wichtig. Denn in einem Belichtungsfeld einer Fotomaske befindet sich das Layout von ca. 2 bis 6 Chips (Belichtung im Step-and-Repeat-Verfahren). Ein Defekt auf der Fotomaske würde sich daher gleich vielfach auf dem Wafer abbilden und somit die Ausbeute drastisch reduzieren.

Partikel aus der Umgebung sind meist natürlich vorkommende Staubteilchen in der Luft. Sie können sich beispielsweise auf der Fotomaske oder der Oberseite der aufgetragenen Fotolackschicht absetzen und dort zu zusätzlichen Maskierungen führen. Ähnlich wie bei lokalen Defekten in der Fotomaske (z. B. Chromreste oder zu viel entferntes Chrom) selbst sind Abbildungsfehler die Folge. Aus diesem Grund findet die Belichtung und die gesamte Handhabung der Fotomasken und Wafer in einer Reinraumumgebung mit stark reduzierter Staubkonzentration statt. Da sich solche Partikel aber auch in dieser Umgebung nicht ganz verhindern lassen, wird die Maske regelmäßig auf Defekte inspiziert und ggf. mit gereinigter Luft abgeblasen. Zusätzlich wird die strukturierte Seite der Fotomaske durch ein sogenanntes Pellikel geschützt. Ein Pellikel ist eine transparente Schutzfolie, die in einem Abstand von wenigen Millimetern über dem Belichtungsfeld der Fotomaske gespannt ist. Sie soll verhindern, dass sich Partikel in den feinen Gräben der Maske festsetzen.

Neben den Partikeln, die einen maskierenden Effekt haben, sind Partikel auf der Waferrückseite oder der Waferauflage eine weitere Ursache für Abbildungsfehler. Sie verursachen eine lokale Verschiebung der Lackschicht in Z-Richtung und führen damit zu einer fehlerhaften Fokussierung. Quellen für solche Partikel können u. a. vorhergehende Prozesse sein, beispielsweise Partikel, die bei einer CVD-Beschichtung entstanden sind und sich an der Waferrückseite anheften.

Defekte in der Lackschicht können zum einen globale Abweichungen in der Schichtdicke vom Zielwert, zum anderen lokale Inhomogenitäten durch Partikel sein und z. B. durch Probleme bei Belackung auftreten. Die globalen Schichtdickenunterschiede können beispielsweise durch falsche Prozessparameter oder Änderungen in den Lackeigenschaften verursacht werden. Sie sind relativ leicht beherrschbar und können durch regelmäßige Testbeschichtungen weitgehend verhindert werden. Lokale Schichtdickenunterschiede entstehen beispielsweise durch Partikel auf dem Wafer, sie stören die gleichmäßige Verteilung des Lacks und ergeben in der Regel einen Defekt mit kometenähnlichem Aussehen. Andere Ursachen sind nachtropfende Lackdüsen oder Rückspritzer.

Zur Detektion von Defekten werden sowohl optische Verfahren als auch die Rasterelektronenmikroskopie eingesetzt. So wird beispielsweise der beschichtete und prozessierte Wafer optisch inspiziert und vollautomatisch mit einem Referenzbild verglichen. Damit lassen sich größere Defekte, vor allem lokale Defekte in der Lackdicke erkennen. Der Einsatz der Rasterelektronenmikroskopie auf belackte und belichtete Wafer wird weitgehend vermieden und nur zur lokalen Detailuntersuchung oder der Linienbreitenmessung (zur Überwachung der Belichtungsdosis und des Fokus) eingesetzt. Hauptgrund dafür ist, dass der Elektronenstrahl den Lack chemisch verändert und somit Einfluss auf die abgebildete Struktur hat. Dies kann sich nachteilig auf nachfolgende Prozesse wie das Trockenätzen auswirken. Auch die Inspektion der Fotomasken erfolgt zu einem Großteil mit optischen Messverfahren. Hierbei haben sich vor allem automatische Verfahren durchgesetzt, bei denen die örtliche Intensitätsverteilung der transmittierten und reflektierten Anteile eines senkrecht einfallenden Laserstrahls gemessen wird. Durch den Vergleich mit den Layoutdaten lassen sich so Defekte bei der Maskenherstellung ermitteln. In der Produktion erfolgt der Vergleich meist mit Daten einer Referenzmessung oder mit einem benachbarten gleichen Chip auf der gleichen Fotomaske.

Belichtungstechniken Bearbeiten

 
Vereinfachte Darstellungen der Belichtungstechniken (links: Kontaktbelichtung; 2.v.l.: Proximitybelichtung; 3. v.l.: einfache Projektionsbelichtung; rechts: modernere Projektionsbelichtung)

Kontaktbelichtung Bearbeiten

Hier wird die Fotomaske in direkten Kontakt mit dem Wafer gebracht (siehe auch Mask Aligner). Das Verfahren bietet die beste Auflösung von den Schattenwurfverfahren, da der Auflösungsverlust durch Lichtbeugung auf das durch die Lackdicke bedingte Minimum reduziert wird. Nachteilig ist jedoch, dass die Maske bzw. der auf dem Wafer aufgebrachte Fotolack durch den Kontakt beschädigt werden kann, z. B. wenn sich ein Staubkorn zwischen beiden befindet. Außerdem werden auch Defekte (z. B. Verunreinigungen der Maske) ebenso wie die erwünschten Strukturen 1:1 auf den Fotolack übertragen, was zu groben Fehlern wie beispielsweise Kurzschlüssen auf dem Wafer führen kann.

Proximitybelichtung Bearbeiten

Bei dieser Art der Belichtung wird die Maske mit einem Abstand (engl. proximity gap) von ca. 10–50 Mikrometern über dem Fotolack positioniert. Dieser Abstand hilft, Resist und Maske vor Beschädigung zu schützen.

Projektionsbelichtung Bearbeiten

Bei der Projektionsbelichtung wird die Fotomaske nicht im Maßstab 1:1 im Resist abgebildet, wie es bei der Kontakt- und Proximitybelichtung naturgemäß der Fall ist, sondern die Masken werden durch ein Linsensystem verkleinert (typischerweise im Maßstab 5:1 oder 4:1) abgebildet. Ein großer Vorteil dabei ist, dass Partikel, die sich auf der Maske abgesetzt haben, auch verkleinert werden und daher einen geringeren Einfluss auf die erzeugten Strukturen haben. Weiterhin sind die verwendeten Fotomasken wesentlich einfacher (und preiswerter) zu fertigen, da auf ihnen die Strukturbreiten um ein Vielfaches größer sind.

Da die Abbildung einer Maske auf diese Weise nicht den ganzen Wafer abdecken kann, werden die Wafer mittels extrem präziser Mechaniken (z. B. Piezo-Linearantrieb) bewegt und so positioniert, dass die Abbilder der Maske auf einem Raster mit engen Toleranzen liegen (sogenanntes Step-and-repeat-Verfahren, die dazu verwendeten Apparate heißen auch „Wafer-Stepper“). Wegen der endlichen Schärfentiefe der Abbildungsoptiken können nur dünne Fotolacke mit dieser Methode belichtet werden, während mit Kontakt- und Proximitybelichtung auch Lithografie in dicken Fotolacken möglich ist (ein extremes Beispiel ist der Dicklack SU-8).

Um tiefere Strukturen (genauer: Strukturen mit hohen Aspektverhältnissen, also Strukturen, die tiefer sind als breit) ausgehend von der Projektionsbelichtung zu erzeugen, wird der (dünne) Fotolack auf eine dicke Schicht von Material aufgebracht, das nach der Entwicklung anisotrop geätzt werden kann. Anisotrope Ätzverfahren sind beispielsweise das reaktive Ionenätzen oder Ionendünnung.

Sonderformen und Weiterentwicklungen Bearbeiten

Immersionslithografie Bearbeiten

Die Immersionslithografie entspricht im Wesentlichen der Projektionsbelichtung. Jedoch liegt bei der Belichtung zwischen Projektionslinse und Fotolack nicht Luft, sondern ein flüssiges Medium. Die Immersionsflüssigkeit kann zum Beispiel Reinstwasser sein. Deren im Vergleich zu Luft höherer Brechungsindex vergrößert die numerische Apertur des Abbildungssystems. Dies erlaubt es, kleinere Strukturen zu erzeugen.

Erste Entwicklungen veröffentlichte IBM im Februar 2006.[4] Schon damals zeigten sie in Laborversuchen eine Strukturabbildung mit einer Linienbreite (engl. critical dimension, CD) von 29,9 nm. Diese Technik wurde 2007 erstmals in der Massenproduktion eingeführt. Hier kamen auch erstmals Spiegellinsenobjektive zum Einsatz. Zurzeit (Januar 2010) werden mit dieser Technik in der Produktion von Chips Strukturgrößen von 32 nm erreicht (mit 193-nm-Laserstrahlung).[5] Durch den Einsatz der Immersionslithografie konnte der Umstieg auf neue und damit teurere Lithografiekonzepte wie der Röntgen- oder der Elektronenstrahllithografie bislang verschoben werden.

Dabei kommen zumindest teilweise weitere Techniken und Belichtungsverfahren zum Einsatz, die es erlauben, kleinere Strukturen im Fotolack abbilden zu können. Beispiele hierfür sind das Double-Exposure- und das Double-Patterning-Verfahren, bei denen ein Wafer zweimal belichtet wird. Zunächst wird eine Struktur mit der maximal erreichbaren optischen Auflösung aufgebracht (z. B. mit 45 nm Strukturbreite), danach die Position des Wafers um die halbe Auflösung verändert (22 nm) und dann eine zweite Struktur projiziert. Die Positioniergenauigkeit der Wafer-Stepper reicht für diesen Prozess aus, durch die wiederholte Belichtung erhöhen sich aber die Prozesszeiten. Durch Double-Patterning konnten in Kombination mit der Immersionslithografie bei 193 nm bereits Strukturgrößen von 22 nm erzielt werden. Eine Kombination von Double-Patterning und der 32-nm-Immersionslithografie könnte gar eine Strukturgröße von 16 nm ermöglichen, die bisher der EUV-Lithografie vorbehalten schienen. Um dieses Ziel zu erreichen, sind die optischen Eigenschaften von Reinstwasser als Immersionsflüssigkeit nicht mehr ausreichend. So kommen derzeit auch Immersionsflüssigkeiten mit einem höheren Brechungsindex von bis zu 1,8 zum Einsatz bzw. es wird an Alternativen geforscht. Sie sollen unter anderem eine bessere Anpassung an das Linsensystem ermöglichen (eines der Ziele ist beispielsweise die weitere Erhöhung der numerischen Apertur).

Grautonlithografie Bearbeiten

Die Grautonlithografie wurde mit dem Ziel entwickelt, eine gewünschte Lacktopografie nach der Entwicklung zu erhalten und so neue Anwendungen im Bereich der Mikrosystemtechnik zu ermöglichen, beispielsweise für die Herstellung von 3D-Strukturen[6]. Damit wird ein neues Konzept der Fotolithografie verfolgt, bei der es normalerweise um die Herstellung von binären Strukturen, das heißt Strukturen bestehend aus entfernten und unveränderten Bereichen, geht. Die Oberflächentopografie wird durch eine laterale Variation der Belichtungsdosis unter Ausnutzung der monoton aber nichtlinear verlaufenden Entwicklungsrate von der Belichtung realer Fotolacke erreicht. Bei dicken Lackschichten, das heißt Dicken über 5 µm, also deutlich oberhalb der Eindringtiefe der verwendeten UV-Strahlung von 1–2 µm−1, kann ein ähnlicher Effekt auch über die Steuerung der Belichtungszeit erreicht werden. Hierbei wird ausgenutzt, dass der Lack nach der Belichtung eine wesentlich geringere Absorption für die verwendete UV-Strahlung aufweist.[7] Nach der Entwicklung kann die Fotolackstruktur beispielsweise durch stark anisotrope Trockenätzprozesse in die darunterliegende funktionale Schicht übertragen werden.

Praktisch realisieren lässt sich eine variable Belichtungsdosis zum einen über Mehrfachbelichtungen zum anderen über Grautonmasken und Pseudo-Grautonmasken. Bei Mehrfachbelichtungen wird je nach gewünschter Struktur entweder eine Maske schrittweise verschoben oder mehrere binäre Masken mit unterschiedlichen Abschattungen genutzt. Zusätzlich kann jeder Belichtungsschritt auch unterschiedliche Belichtungsdosen aufweisen, um bessere Ergebnisse zu erzielen. Pseudo-Grautonmasken sind normale binäre Masken, bei denen Strukturen verwendet werden, die kleiner sind als die Auflösungsgrenze des Projektionsobjektives (diffraktive Optik). Dies führt zu einer Helligkeitsmodulation im Bildbereich (ähnlicher Effekt wie bei gerasterten Bildern z. B. im Zeitungsdruck). „Richtige“ Grautonmasken weisen hingegen Bereiche mit unterschiedlichem Transmissionsgrad auf. Dieser wird in der Regel über eine Schicht eines (teilweise) absorbierenden Materials realisiert, deren Dicke im Bereich vollständiger Absorption und Transmission variiert wird. Dies kann z. B. über ein sehr fein abgestuftes Profil realisiert werden.[8][9]

Laserlithografie / Maskenlose Direktbelichtung Bearbeiten

Mit UV-Strahlungsquellen wie UV-LEDs, Halbleiterlasern, frequenzvervielfachten Festkörperlasern oder Excimer-Lasern oder ähnlichen Quellen kann der Kopierschritt der Lithografie durch eine direkte Strukturierung ersetzt werden. Für hohe Auflösungen können z. B. ultrakurze Laserpulse mit einer Ein-Photonen-Energie unterhalb der Absorptionsschwelle des photosensitiven Mediums verwendet werden, vergleichbar mit der Multiphotonenmikroskopie. Dies bedeutet, dass das zu belichtende Material transparent für das verwendete Laserlicht ist. Wird jedoch dieser Laserstrahl stark fokussiert, so werden im fokalen Volumen Mehrphotonen-Absorptionsprozesse wahrscheinlich. Dies ermöglicht eine chemische oder physikalische Modifikation im fokalen Volumen, und letztlich eine selektive Löslichkeit des belichteten Bereichs relativ zu dem umgebenden. Nach dem Bad in einem Entwickler können so, abhängig von dem verwendeten fotoempfindlichen Material unbelichtete oder belichtete Bereiche herausgelöst werden. Somit können nahezu beliebige 3D-Strukturen aus unterschiedlichen photosensitiven Materialien (z. B. SU-8, Ormocere, Polydimethylsiloxan, Chalkogenid-Gläser) hergestellt werden. Bei geringeren Auflösungen wird die lineare Absorption verwendet und dynamisch über ein Raster- oder ein abtastendes Verfahren ein latentes Bild im Fotolack generiert.

Entwicklungsgeschichte Bearbeiten

Die Ursprünge der Fotolithografie als Verfahren der Halbleitertechnik liegen in der gleichnamigen Drucktechnik, einem der ältesten fotografischen Verfahren. Diese erstmals 1822 vom Franzosen Niépce genutzte Technik erlaubt das Übertragen eines fotografischen Negatives (z. B. einer transparenten Zeichnung) auf den Lithografiestein. Ihm war bekannt, dass eine lichtempfindliche Asphaltschicht ihre Löslichkeit verliert (Photopolymerisation), wenn man sie einige Stunden dem Sonnenlicht aussetzt. Mit Ether wurde der belichtete Stein entwickelt, und ein positives Asphaltbild blieb stehen, das Farbe annahm und auf Papier übertragen werden konnte.[10] Diese Technik, von der die Bezeichnung Fotolithografie, also „Zeichnen mit Licht auf Stein“, stammt, wurde in 1955 durch Jules Andrus und Walter L. Bond (Bell Labs) für die Strukturierung von Leiterplatten und Wafern adaptiert.[11] Seitdem wurden zahlreiche Verbesserungen eingeführt, wie Lichtquellen mit brillanterer und kurzwelliger Strahlung, Fotolacke usw., und das Verfahren ist zu einer Schlüsseltechnologie bei der Herstellung mikroelektronischer Bauelemente und Schaltkreise sowie Mikrosysteme geworden.

Quecksilberdampflampe als Lichtquelle Bearbeiten

Die Haupttreiber für die stetige Verbesserung der Fotolithografie und die Bereitstellung ausreichend guter Abbildungstechniken für die Weiterentwicklung der Mikroelektronik zu immer kleineren Strukturgrößen waren in der Vergangenheit die Nutzung von Licht kürzerer Wellenlängen, Verbesserungen der Lithografieanlagen im Bereich der Beleuchtungs- und Projektionslinsenoptik und Weiterentwicklung der Fotolacke sowie die Entwicklung neuer Belichtungstechniken für die Korrektur und Ausnutzung von Beugungseffekten.

In den Anfängen der Mikroelektronik Anfang der 1970er Jahre wurde für die fotolithografische Strukturierung die starke g-Linie (434 nm) des Emissionsspektrums einer Quecksilberdampflampe genutzt. Sie ermöglichte zusammen mit der damals üblichen Kontakt- bzw. Proximitybelichtung und typischen Fotolacken auf Basis von Novolak (mit oder ohne Diazonaphthoquinon (DNQ) zur Erhöhung des Entwicklungskontrasts) die Herstellung von Strukturen im Bereich von 5 bis 6 μm (für CPUs wie den Intel 8080). Aufgrund der damals typischen Wafergrößen mit Durchmessern von 2 bzw. 3 Zoll wurde der gesamte Wafer mit einem Belichtungsschritt prozessiert. Dieses Verfahren findet aufgrund seiner Einfachheit auch heute noch in der Forschung Verwendung.[12]

Anfang der 1980er Jahre stieg die Industrie auf die Nutzung der i-Linie (365 nm) um. Die i-Linien-Lithografie war Mitte der 1980er die Spitzenmethode, mit der Strukturgrößen um die 1 μm (für CPUs wie den Intel 80386) gefertigt werden konnten. Mit diesem Umstieg wurden auch erste Projektionsbelichtungsanlagen mit Verkleinerungsfaktoren von 4 bzw. 5 eingeführt. Damit verbunden war auch die Einführung von sogenannten Steppern, da die Maskengrößen für eine Vollbelichtung von 4-Zoll-Wafern nicht effizient waren. Bei Steppern wird der Wafer in mehreren Schritten rasterförmig mit immer derselben Maske belichtet. Dabei werden mit jedem Schritt ein oder mehrere Dies belichtet. Die Herstellung von Strukturgrößen unterhalb von einem Mikrometer galt zunächst noch als große Herausforderung für die optische Lithografie und das Erreichen dieses Ziels nicht als sicher.[12]

Excimerlaser als Lichtquelle Bearbeiten

Die ersten Lithografieanlagen, die Strukturgrößen unter einem Mikrometer ermöglichen, wurden Anfang der 1990er Jahre eingeführt. Sie nutzten Strahlungsquellen mit einer Wellenlänge von 240 bis 255 nm, das waren zunächst Quecksilberdampflampen und etwas später KrF-Excimerlaser (248 nm, auch engl. deep ultraviolet, DUV, genannt). Der Umstieg auf diese Wellenlänge und die gestiegenen Anforderungen hinsichtlich der Auflösung machten die Entwicklung neuer Fotolacke notwendig. Dazu gehören unter anderem chemisch verstärkte Fotolacke (engl. chemical amplified resist, CAR) auf Basis von Poly(hydroxystyrol) (PHS). Diese Fotolacke setzen unter Bestrahlung mit Licht der Wellenlänge 248 nm einen Fotosäuregenerator (PAG) frei, der beispielsweise den Positivfotolack photokatalytisch zersetzt und somit die benötigte Belichtungsdosis und somit auch die Belichtungszeit verringert. Die Steigerung der Empfindlichkeit sowie die Einführung von Phasenmasken (engl. phase shift photomasks, PSM) führte dazu, dass 1993 kommerziell erhältliche Produkte mit Strukturgrößen im Bereich von 0,5 µm herstellbar waren.[12]

Anfang der 2000er Jahre folgte der bislang letzte Umstieg hinsichtlich der Wellenlänge in der Industrie hinzu ArF-Excimerlaser mit einer Wellenlänge von 193 nm, daher auch 193-nm-Lithografie genannt.[12][13] Sie wird auch heute standardmäßig genutzt und ermöglicht die Herstellung der kleinsten Strukturen für den 45-nm-Technologieknoten („trocken“) bzw. die 28-nm-Planartechnik und 22-nm-FinFET-Technik mittels Immersionslithografie.

Zuletzt endete diese Entwicklung der stetigen Verringerung der genutzten Wellenlänge mit der erfolglosen Umsetzung der 157-nm-Lithografie mit F2-Excimerlasern (157 nm). Ein Grund für die aufgegebene Umsetzung war der nochmals höhere Entwicklungsaufwand, das heißt die Suche nach passenden Materialien und deren Herstellung in benötigter Qualität. So konnte beispielsweise Calciumfluorid (CaF2), das Material für die letzte Linse im optischen System, 2003 noch nicht in der notwendigen Qualität hergestellt werden. F2-Excimerlaser und CaF2-Linsen waren 2002 mit Vorstellung und der anschließenden raschen Einführung der Immersionslithografie bei einer Wellenlänge von 193 nm nicht mehr notwendig. Die effektive Wellenlänge – die Wellenlänge im Medium zwischen der letzten Linse und dem Fotolack – liegt bei dieser Konfiguration sogar unter der einer trockenen 157-nm-Lithografie, denn bei Nutzung von Wasser als Immersionsmedium (Brechungsindex 1,436) liegt diese für einen ArF-Excimerlaser bei 193,4 nm/1,436 = 134,7 nm. Da der Entwicklungsaufwand für eine 157-nm-Immersionslithografie (effektive Wellenlänge 118 nm, etwa 12 Prozent geringer) die möglichen Vorteile deutlich übersteigt, ist die 193-nm-Immersionslithografie vermutlich der Schlusspunkt in der Entwicklung der „optischen Lithografie“.[3][12]

Auflösung erhöhende Techniken Bearbeiten

Neben dieser Entwicklung der Belichtungstechnik (inkl. Verbesserung der numerischen Apertur) wurden zahlreiche weitere Techniken zur Verbesserung der Prozessfensters durch die Verringerung das k1-Werts entwickelt und eingeführt. Neben den bereits genannten Verbesserungen der Fotolacke sind dies vor allem Auflösung erhöhende Techniken (resolution enhancement techniques, RETs). Dazu zählen Techniken zur Optimierung der Belichtung, wie Schrägbeleuchtung (engl. off-axis illumination, OAI), Belichtung mit polarisiertem Licht und Quellen-Masken-Optimierung (engl. source-mask optimization, SMO), und Verbesserungen der Fotomaske, wie die genannten Phasenmasken (PSM), optical proximity correction (OPC, dt. optische Nahbereichskorrektur) und weitere Hilfsstrukturen (engl. subresolution assist features, SRAFs), die vor allem Beugungseffekte ausgleichen sollen.[3]

Next-Generation-Lithografie Bearbeiten

Trotz aller Verbesserungen steht die Industrie weiterhin vor der Tatsache, dass auch die aktuellen Techniken in der Herstellung von Spitzenprodukten bald an ihre praktischen Grenzen stoßen. Diese liegt dank Mehrfachstrukturierung für die 193-nm-Immersionslithografie vermutlich noch nicht bei der 22-nm-Planartechnik, aber spätestens Produkte in 11-nm- bzw. 10-nm-Technik werden neue Lithografieverfahren brauchen. Da dieser Zeitpunkt sich seit Jahren immer wieder hinzu kleineren Strukturgrößen verschiebt und das Grundproblem somit lange bekannt ist, wird seit den 1990er-Jahren nach alternativen Lithografieverfahren gesucht, die die Nachfolge der optischen Fotolithografie antreten können. Diese Verfahren werden häufig unter der Bezeichnung Next-Generation-Lithografie (dt. Lithografie der nächsten Generation) zusammengefasst. Die Verfahren können grob in drei Gruppen eingeteilt werden:

  1. Verfahren basierend auf elektromagnetischer Strahlung mit noch kürzer Wellenlänge, beispielsweise EUV- und Röntgenlithografie. Sie benötigen jedoch vollkommen andersartige optische Systeme und Materialien als die derzeit in der Industrie eingesetzten Fotolithografieverfahren.
  2. Verfahren basierend auf anderen Teilchenstrahlungen, beispielsweise Elektronen- und Ionenstrahllithografie
  3. alternative Verfahren, wie Nanoprägelithografie oder die Step-and-flash-imprint-Lithografie

Literatur Bearbeiten

  • Andreas Gombert: Mikrooptik im großen Stil. In: Physik Journal. Band 1, Nr. 9, 2002, S. 37–42 (PDF – u. a. mittels Grautonlithografie).

Weblinks Bearbeiten

Einzelnachweise Bearbeiten

  1. Harry J. Levinson: Principles of Lithography. 3. Auflage. SPIE Press, 2011, ISBN 978-0-8194-8324-9, S. 51.
  2. vgl. Prosenjit Rai-Choudhury (Hrsg.): Handbook of microlithography, micromachining, and microfabrication. Institution of Engineering and Technology, London 1997, ISBN 0-85296-906-6, S. 259.
  3. a b c d e Daniel P. Sanders: Advances in Patterning Materials for 193 nm Immersion Lithography. In: Chemical Reviews. Band 110, Nr. 1, 2010, S. 321–360, doi:10.1021/cr900244n.
  4. IBM Forschung zeigt Weg zur verlängerten Nutzung aktueller Chipherstellungsverfahren. IBM-Pressemitteilung, vom 20. Februar 2006.
  5. Intel schließt 32nm-Prozessentwicklung erfolgreich ab. (Pressebereich) Intel, 10. Dezember 2008, archiviert vom Original (nicht mehr online verfügbar) am 10. Januar 2010; abgerufen am 11. Dezember 2008.
  6. Franz Miller: Kleine Strukturen mit großer Wirkung. Fraunhofer-Gesellschaft, 1998, archiviert vom Original (nicht mehr online verfügbar) am 1. Januar 2016;.
  7. Grauton-Lithografie mit Fotolacken. (PDF; 219 kB) Microchemicals, abgerufen am 11. November 2009.
  8. Thomas J. Suleski, Donald C. O’Shea: Gray-scale masks for diffractive-optics fabrication: I. Commercial slide imagers. In: Applied Optics. Band 34, Nr. 32, 1995, S. 7507–7517, doi:10.1364/AO.34.007507.
  9. Donald C. O’Shea, Willie S. Rockward: Gray-scale masks for diffractive-optics fabrication: II. Spatially filtered halftone screens. In: Applied Optics. Band 34, Nr. 32, 1995, S. 7518–7526, doi:10.1364/AO.34.007518.
  10. Walter Domen: Die Lithographie: Geschichte, Kunst, Technik. Dumont Taschenbücher, Köln 1982, ISBN 3-7701-1431-0, S. 238–240.
  11. 1955 - Photolithography Techniques Are Used to Make Silicon Devices - The Silicon Engine. In: Computer History Museum - computerhistory.org. 15. August 1957, abgerufen am 1. Februar 2016.
  12. a b c d e Roger H. French, Hoang V. Tran: Immersion Lithography: Photomask and Wafer-Level Materials. In: Annual Review of Materials Research. Band 39, Nr. 1, 2009, S. 93–126, doi:10.1146/annurev-matsci-082908-145350.
  13. Harry J. Levinson: Principles of Lithography. 3. Auflage. SPIE Press, 2011, ISBN 978-0-8194-8324-9.